Welcome![Sign In][Sign Up]
Location:
Search - fifo vhdl

Search list

[VHDL-FPGA-VerilogFIFO

Description: 用VHDL语言编写的实现FIFO的设计,经编译下载成功-VHDL language used to achieve FIFO design, by the compiler download success
Platform: | Size: 66560 | Author: henry | Hits:

[VHDL-FPGA-VerilogRS232uart(VHDL)

Description: 256字节深度的RS232串口程序,共分4个模块,顶层文件\FIFO程序\串口收和串口发.经过测试已用于产品.可靠!-Depth of 256-byte Serial RS232 procedures, divided into four modules, top-level document procedures FIFO serial and serial-fat collection. After the test has been used in products. Reliable!
Platform: | Size: 5120 | Author: 温海龙 | Hits:

[VHDL-FPGA-VerilogFIFO

Description: 异步FIFO的实现,可综合,可验证] keywords:almost_full,full,almost_empty,empty-The realization of asynchronous FIFO can be comprehensive, verifiable] keywords: almost_full, full, almost_empty, empty
Platform: | Size: 1024 | Author: ly | Hits:

[VHDL-FPGA-Verilogfifo

Description: 此程序为存储器常用的FIFO(先入先出),程序中没有指明位宽,这样更适合于初学者进行套用-This process commonly used for the memory FIFO (FIFO), the procedure is not specified bit, so more suitable for beginners to apply
Platform: | Size: 1024 | Author: zhaohongliang | Hits:

[Otherfifo

Description: 一个FIFO设计的例子,例子简单,但很经典。 是学好数字设计的好开端。-A FIFO design examples, example of simple, but very classic. Learn digital design is a good start.
Platform: | Size: 1024 | Author: Benson | Hits:

[OS Developfifo

Description: 利用一个SAM设计一个FIFO 的存储器-SAM uses a design of a FIFO memory
Platform: | Size: 9216 | Author: lzc | Hits:

[VHDL-FPGA-VerilogFifo

Description: 一个FIFO源代码,基于Altera FPGA-A FIFO source code, based on Altera FPGA
Platform: | Size: 1024 | Author: jiashengwen | Hits:

[VHDL-FPGA-VerilogFIFO

Description: 一个用VHDL源码编写的先进先出(FIFO)缓冲器模块.可以进行FIFO的仿真验证-A source prepared by VHDL FIFO (FIFO) buffer module. Can verify FIFO simulation
Platform: | Size: 2048 | Author: falcon_cq | Hits:

[Program docvhdlfi

Description: fifo vhdl源码,高可靠性,带有格雷码同步,有需要可依进行参考!-fifo vhdl source, high reliability, with Gray-code synchronization, there is a need-based reference!
Platform: | Size: 3072 | Author: lee | Hits:

[source in ebookfifo

Description: fifo example vhdl code
Platform: | Size: 1024 | Author: whatisthegame | Hits:

[SCMFIFO

Description: FIFO中文应用笔记,对学习单片机RAM、大量数据处理很有帮助。-FIFO notes
Platform: | Size: 1136640 | Author: chenlei | Hits:

[VHDL-FPGA-VerilogFIFO

Description: it is a verilog code written for FIFO in modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].you can use this code in any DSP project in which data entry is required.-it is a verilog code written for FIFO in modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].you can use this code in any DSP project in which data entry is required.
Platform: | Size: 31744 | Author: yasir ateeq | Hits:

[VHDL-FPGA-Verilogfpga.fifo

Description: 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the phase and frequency offset between different clock domain in communication area .In this paper, an FIFO module is designed using Gray convert technology and dual-port ram , which realizing scatheless transmit between different clock domain. The advances of Gray (Only one bit changes between neighboring two clock ) improves the reliability and anti-jamming capability of the system. And the system can work normally in the bad condition which the phase and frequency offset target to 300PPM. It is proved by work that the FIFO module can fulfill the demands of real-time of data transmitting system, and the module is powerful enough for more data process in the future.
Platform: | Size: 81920 | Author: 雷志 | Hits:

[VHDL-FPGA-Verilogfifo-interface

Description: fifo(1-6:1):using ip-code and rd wd interface-fifo:using ip-code and rd wd interface
Platform: | Size: 1024 | Author: sunbaoyu | Hits:

[VHDL-FPGA-VerilogFIFO

Description: 512×8bid的FIFO 含工程文件,基于QUARTUs-512 × 8bid the FIFO with the project document, based on the QUARTUsII
Platform: | Size: 4096 | Author: 邵捷 | Hits:

[VHDL-FPGA-Verilogfifo

Description: 先进先出存储电路fifo,实现队列存储结构-xianjin xianchu chunchu dianlu fifo
Platform: | Size: 489472 | Author: 623902748 | Hits:

[VHDL-FPGA-VerilogFIFO_Design

Description: 一种基于格雷码的异步FIFO设计与实现,8*8位的fifo VHDL 源码-Gray-code based on the Asynchronous FIFO Design and Implementation
Platform: | Size: 90112 | Author: qwe | Hits:

[VHDL-FPGA-VerilogFIFO

Description: This code is a FIFO memory vhdl developed in ISE Software
Platform: | Size: 3377152 | Author: Arley | Hits:

[Software Engineeringfifo

Description: 异步fifo的经典讲解,包括亚稳态的产生,同步电路的构造,fifo电路的结构,源代码实现。-Asynchronous fifo on the classic, including the emergence of metastable, the structure of synchronous circuits, fifo circuit structure, the source code to achieve.
Platform: | Size: 3224576 | Author: 王玉 | Hits:

[VHDL-FPGA-VerilogFIFO

Description: 完整的FIFO完整源代码,通过仿真 完整的FIFO完整源代码,通过仿真 -Complete FIFO full source code, through the simulation of the complete FIFO full source code, through the simulation of
Platform: | Size: 3072 | Author: culun | Hits:
« 1 23 4 5 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net